بهینه سازی کدهای VHDL برای سنتز

سال انتشار: 1380
نوع سند: مقاله کنفرانسی
زبان: فارسی
مشاهده: 3,078

فایل این مقاله در 8 صفحه با فرمت PDF قابل دریافت می باشد

استخراج به نرم افزارهای پژوهشی:

لینک ثابت به این مقاله:

شناسه ملی سند علمی:

ISCEE04_043

تاریخ نمایه سازی: 14 آذر 1390

چکیده مقاله:

ضمن معرفی خلاصه وار مراحلی که در وسایل سنتز کننده به هنگام اجرای یک پروسه سنتز استفاده می شود راه کارهایی برای بهینه نویسی کدهای سنتز پذیر VHDL معرفی می گردد البته با توجه به الگوریتم های استفاده شده دراین وسایل و محدودیت های موردنظر توپولوژی های مختلف از یک بیان رفتاری مدار ممکن است حاصل شود دراین مقاله با تاکید بر بعضی مهارتهای برنامه نویسی سعی می شود از الگوریتمهای سنتز نتایج بهینه ای بدست آورد.

نویسندگان

محمدعلی محرابی

دانشکده برق و کامپیوتر دانشگاه شهید بهشتی